| 网站首页 | 业界新闻 | 小组 | 威客 | 人才 | 下载频道 | 博客 | 代码贴 | 在线编程 | 编程论坛
欢迎加入我们,一同切磋技术
用户名:   
 
密 码:  
共有 4091 人关注过本帖
标题:[求助]如何利用vfp确定相对路径
只看楼主 加入收藏
tjf21991
Rank: 1
等 级:新手上路
帖 子:2
专家分:0
注 册:2004-12-18
收藏
 问题点数:0 回复次数:5 
[求助]如何利用vfp确定相对路径

我的程序是在d:盘上编写的,可是由于是用的绝对路径,现在不能在别的路径下运行,望高手指点,如何求相对路径?

搜索更多相关主题的帖子: vfp 路径 
2004-12-18 17:46
tzhtang
Rank: 1
等 级:新手上路
帖 子:888
专家分:0
注 册:2004-8-30
收藏
得分:0 
开发之时就应使用相对路径:首先将VFP的默认路径设置为你的程序所在路径(VFP菜单Tools-->ptions-->File Locations  内的 Default Directory启用并改成你的程序所在路径.),写程序时直接调用文件即可.

2004-12-20 10:36
光明使者
Rank: 1
等 级:新手上路
帖 子:16
专家分:0
注 册:2004-12-24
收藏
得分:0 
使用“MYPATH=LEFT(SYS(16),RAT("\",SYS(16)))”试一试,可以提取当前路径。
2004-12-24 08:30
adamschou
Rank: 1
等 级:新手上路
帖 子:12
专家分:0
注 册:2005-1-24
收藏
得分:0 
mydrive=sys(5)+sys(2003)即可
2005-01-24 23:55
pjq49
Rank: 1
等 级:新手上路
帖 子:41
专家分:0
注 册:2005-4-4
收藏
得分:0 
set default  to 路径
就哦哦了!!!

2005-04-12 13:24
zfk1970
Rank: 1
等 级:新手上路
帖 子:71
专家分:0
注 册:2010-12-2
收藏
得分:0 
和“workPATH=LEFT(SYS(16),RAT("\",SYS(16)))”有何区别
2010-12-07 15:24
快速回复:[求助]如何利用vfp确定相对路径
数据加载中...
 
   



关于我们 | 广告合作 | 编程中国 | 清除Cookies | TOP | 手机版

编程中国 版权所有,并保留所有权利。
Powered by Discuz, Processed in 0.027164 second(s), 8 queries.
Copyright©2004-2024, BCCN.NET, All Rights Reserved