| 网站首页 | 业界新闻 | 小组 | 威客 | 人才 | 下载频道 | 博客 | 代码贴 | 在线编程 | 编程论坛
欢迎加入我们,一同切磋技术
用户名:   
 
密 码:  
共有 2476 人关注过本帖
标题:关于枚举变量,输出的时候想偷懒,请问有什么方法?
只看楼主 加入收藏
casio1374633
Rank: 1
等 级:新手上路
帖 子:22
专家分:0
注 册:2010-3-11
收藏
 问题点数:0 回复次数:3 
关于枚举变量,输出的时候想偷懒,请问有什么方法?
普通printf时候,枚举参数是按照实际整形值打印的:

typedef enum {apple, banana, ....etc} fruit;

fruit fruit_choose;

printf("%d", fruit_choose);   /*   打印的只能是个数字  */

如果我要打印出"苹果", "香蕉".就要一个一个case,对应每一个printf.


如果我枚举的数量很多,有没有什么偷懒的办法?
这样就不用再写很多case来专门写输出.

希望能有人帮忙回答下~谢谢
搜索更多相关主题的帖子: 输出 枚举 变量 偷懒 
2010-03-14 22:47
广陵绝唱
Rank: 10Rank: 10Rank: 10
等 级:贵宾
威 望:29
帖 子:3607
专家分:1709
注 册:2008-2-15
收藏
得分:0 
定义个字符型的二维数组,之后根据枚举变量值来输出相应的字符串.
2010-03-14 23:15
casio1374633
Rank: 1
等 级:新手上路
帖 子:22
专家分:0
注 册:2010-3-11
收藏
得分:0 
果然是版主啊,精辟的回答~~

静下心来,做点实事
2010-03-14 23:44
hahayezhe
Rank: 15Rank: 15Rank: 15Rank: 15Rank: 15
来 自:湖南张家界
等 级:贵宾
威 望:24
帖 子:1386
专家分:6999
注 册:2010-3-8
收藏
得分:0 
枚举元素的值是从 0开始的
你可以用一个循环控制一个整形变量
将值强制转换赋给枚举变量
然后再输出
2010-03-15 08:58
快速回复:关于枚举变量,输出的时候想偷懒,请问有什么方法?
数据加载中...
 
   



关于我们 | 广告合作 | 编程中国 | 清除Cookies | TOP | 手机版

编程中国 版权所有,并保留所有权利。
Powered by Discuz, Processed in 0.018449 second(s), 8 queries.
Copyright©2004-2024, BCCN.NET, All Rights Reserved