| 网站首页 | 业界新闻 | 小组 | 威客 | 人才 | 下载频道 | 博客 | 代码贴 | 在线编程 | 编程论坛
欢迎加入我们,一同切磋技术
用户名:   
 
密 码:  
共有 1541 人关注过本帖
标题:VHDL 语言 编程 求助大神
只看楼主 加入收藏
。。。萌新
Rank: 1
等 级:新手上路
帖 子:4
专家分:0
注 册:2018-12-17
收藏
 问题点数:0 回复次数:0 
VHDL 语言 编程 求助大神
VHDL 语言 编程 求助大神
1.设计一个二到十进制转换器   可以把五位二进制数转换为十进制数,转换器可以输出其十位和个位。
2.设计一个倒计数报警器,输出倒计数为:9-0  ,当计数到0时 不再计数,同时数码管显示F表示报警 ,不报警不显示。
3.设计一个任意数自动循环计数器,完成0,3,8,4,11,7,1,0,3,8......1   的自动循环。
 真心求助大神帮助    有偿
搜索更多相关主题的帖子: 语言 编程 设计 计数 报警 
2018-12-17 13:25
快速回复:VHDL 语言 编程 求助大神
数据加载中...
 
   



关于我们 | 广告合作 | 编程中国 | 清除Cookies | TOP | 手机版

编程中国 版权所有,并保留所有权利。
Powered by Discuz, Processed in 0.017946 second(s), 8 queries.
Copyright©2004-2024, BCCN.NET, All Rights Reserved